2021-11-08 13:44:00 索煒達(dá)電子 813
項(xiàng)目編號(hào):E2237
文件大?。?0M
源碼說(shuō)明:帶中文注釋
開(kāi)發(fā)環(huán)境:Verilog
簡(jiǎn)要概述
基于FPGA的視頻圖像以太網(wǎng)傳輸
目錄│文件列表:
└ 基于FPGA的視頻圖像以太網(wǎng)傳輸
└ 30_video_ethernet
└ video_ethernet
│ ip_upgrade.log
│ video_ethernet.xpr
├ video_ethernet.cache
│ ├ ip
│ │ └ 2017.4
│ │ ├ d813ba3b9e919d12
│ │ │ │ camera_fifo.dcp
│ │ │ │ camera_fifo_sim_netlist.v
│ │ │ │ camera_fifo_sim_netlist.vhdl
│ │ │ │ camera_fifo_stub.v
│ │ │ │ camera_fifo_stub.vhdl
│ │ │ └ d813ba3b9e919d12.xci
│ │ └ d813ba3b9e919d12.logs
│ │ └ runme.log
│ └ wt
│ │ gui_handlers.wdf
│ │ java_command_handlers.wdf
│ │ project.wpc
│ │ synthesis.wdf
│ │ synthesis_details.wdf
│ └ webtalk_pa.xml
├ video_ethernet.hw
│ │ video_ethernet.lpr
│ ├ backup
│ │ └ hw_ila_data_1.ila
│ └ hw_1
│ │ hw.xml
│ └ wave
│ └ hw_ila_data_1
│ │ hw_ila_data_1.wcfg
│ └ hw_ila_data_1.wdb
├ video_ethernet.ip_user_files
│ │ README.txt
│ ├ ip
│ │ └ camera_fifo
│ │ │ camera_fifo.veo
│ │ │ camera_fifo.vho
│ │ │ camera_fifo_stub.v
│ │ └ camera_fifo_stub.vhdl
│ └ ipstatic
│ ├ hdl
│ │ │ fifo_generator_v13_2_rfs.v
│ │ └ fifo_generator_v13_2_rfs.vhd
│ └ simulation
│ └ fifo_generator_vlog_beh.v
├ video_ethernet.runs
│ ├ .jobs
│ │ │ vrs_config_1.xml
│ │ │ vrs_config_10.xml
│ │ │ vrs_config_11.xml
│ │ │ vrs_config_12.xml
│ │ │ vrs_config_13.xml
│ │ │ vrs_config_14.xml
│ │ │ vrs_config_15.xml
│ │ │ vrs_config_16.xml
│ │ │ vrs_config_17.xml
│ │ │ vrs_config_18.xml
│ │ │ vrs_config_19.xml
│ │ │ vrs_config_2.xml
│ │ │ vrs_config_20.xml
│ │ │ vrs_config_21.xml
│ │ │ vrs_config_22.xml
│ │ │ vrs_config_23.xml
│ │ │ vrs_config_24.xml
│ │ │ vrs_config_25.xml
│ │ │ vrs_config_26.xml
│ │ │ vrs_config_27.xml
│ │ │ vrs_config_28.xml
│ │ │ vrs_config_29.xml
│ │ │ vrs_config_3.xml
│ │ │ vrs_config_30.xml
│ │ │ vrs_config_31.xml
│ │ │ vrs_config_32.xml
│ │ │ vrs_config_33.xml
│ │ │ vrs_config_34.xml
│ │ │ vrs_config_35.xml
│ │ │ vrs_config_36.xml
│ │ │ vrs_config_37.xml
│ │ │ vrs_config_38.xml
│ │ │ vrs_config_39.xml
│ │ │ vrs_config_4.xml
│ │ │ vrs_config_40.xml
│ │ │ vrs_config_41.xml
│ │ │ vrs_config_42.xml
│ │ │ vrs_config_43.xml
│ │ │ vrs_config_44.xml
│ │ │ vrs_config_45.xml
│ │ │ vrs_config_46.xml
│ │ │ vrs_config_47.xml
│ │ │ vrs_config_48.xml
│ │ │ vrs_config_49.xml
│ │ │ vrs_config_5.xml
│ │ │ vrs_config_50.xml
│ │ │ vrs_config_51.xml
│ │ │ vrs_config_52.xml
│ │ │ vrs_config_53.xml
│ │ │ vrs_config_54.xml
│ │ │ vrs_config_55.xml
│ │ │ vrs_config_56.xml
│ │ │ vrs_config_57.xml
│ │ │ vrs_config_58.xml
│ │ │ vrs_config_59.xml
│ │ │ vrs_config_6.xml
│ │ │ vrs_config_60.xml
│ │ │ vrs_config_61.xml
│ │ │ vrs_config_62.xml
│ │ │ vrs_config_63.xml
│ │ │ vrs_config_64.xml
│ │ │ vrs_config_65.xml
│ │ │ vrs_config_66.xml
│ │ │ vrs_config_67.xml
│ │ │ vrs_config_68.xml
│ │ │ vrs_config_69.xml
│ │ │ vrs_config_7.xml
│ │ │ vrs_config_70.xml
│ │ │ vrs_config_8.xml
│ │ └ vrs_config_9.xml
│ ├ camera_fifo_synth_1
│ │ │ .vivado.begin.rst
│ │ │ .vivado.end.rst
│ │ │ .Vivado_Synthesis.queue.rst
│ │ │ camera_fifo.dcp
│ │ │ camera_fifo.tcl
│ │ │ camera_fifo.vds
│ │ │ camera_fifo_utilization_synth.pb
│ │ │ camera_fifo_utilization_synth.rpt
│ │ │ dont_touch.xdc
│ │ │ gen_run.xml
│ │ │ htr.txt
│ │ │ ISEWrap.js
│ │ │ ISEWrap.sh
│ │ │ rundef.js
│ │ │ runme.bat
│ │ │ runme.log
│ │ │ runme.sh
│ │ │ vivado.jou
│ │ │ vivado.pb
│ │ └ .Xil
│ │ └ camera_fifo_propImpl.xdc
│ ├ impl_1
│ │ │ .init_design.begin.rst
│ │ │ .init_design.end.rst
│ │ │ .opt_design.begin.rst
│ │ │ .opt_design.end.rst
│ │ │ .place_design.begin.rst
│ │ │ .place_design.end.rst
│ │ │ .route_design.begin.rst
│ │ │ .route_design.end.rst
│ │ │ .vivado.begin.rst
│ │ │ .vivado.end.rst
│ │ │ .Vivado_Implementation.queue.rst
│ │ │ .write_bitstream.begin.rst
│ │ │ .write_bitstream.end.rst
│ │ │ gen_run.xml
│ │ │ htr.txt
│ │ │ init_design.pb
│ │ │ ISEWrap.js
│ │ │ ISEWrap.sh
│ │ │ opt_design.pb
│ │ │ place_design.pb
│ │ │ project.wdf
│ │ │ route_design.pb
│ │ │ rundef.js
│ │ │ runme.bat
│ │ │ runme.log
│ │ │ runme.sh
│ │ │ usage_statistics_webtalk.html
│ │ │ usage_statistics_webtalk.xml
│ │ │ video_ethernet.bit
│ │ │ video_ethernet.tcl
│ │ │ video_ethernet.vdi
│ │ │ video_ethernet_clock_utilization_routed.rpt
│ │ │ video_ethernet_control_sets_placed.rpt
│ │ │ video_ethernet_drc_opted.pb
│ │ │ video_ethernet_drc_opted.rpt
│ │ │ video_ethernet_drc_opted.rpx
│ │ │ video_ethernet_drc_routed.pb
│ │ │ video_ethernet_drc_routed.rpt