2021-10-30 23:50:44 索煒達(dá)電子 824
項目編號:E2016
文件大小:6.3M
源碼說明:帶中文注釋
開發(fā)環(huán)境:Verilog
簡要概述
使用DDS產(chǎn)生正弦信號(應(yīng)用Matlab產(chǎn)生查找表)
目錄│文件列表:
└ DDS_Sin
│ dds_rom.coe
│ dds_rom.m
│ DDS_Sin.xpr
│ vivado.jou
│ vivado.log
├ DDS_Sin.cache
│ ├ ip
│ │ └ 2017.4
│ │ ├ 4ab9368ab5942cb5
│ │ │ │ 4ab9368ab5942cb5.xci
│ │ │ │ DDS_ROM.dcp
│ │ │ │ DDS_ROM_sim_netlist.v
│ │ │ │ DDS_ROM_sim_netlist.vhdl
│ │ │ │ DDS_ROM_stub.v
│ │ │ └ DDS_ROM_stub.vhdl
│ │ └ 4ab9368ab5942cb5.logs
│ │ └ runme.log
│ └ wt
│ │ gui_handlers.wdf
│ │ java_command_handlers.wdf
│ │ project.wpc
│ │ synthesis.wdf
│ │ synthesis_details.wdf
│ │ webtalk_pa.xml
│ └ xsim.wdf
├ DDS_Sin.hw
│ └ DDS_Sin.lpr
├ DDS_Sin.ip_user_files
│ │ README.txt
│ ├ ip
│ │ └ DDS_ROM
│ │ │ DDS_ROM.veo
│ │ │ DDS_ROM.vho
│ │ │ DDS_ROM_stub.v
│ │ └ DDS_ROM_stub.vhdl
│ ├ ipstatic
│ │ └ simulation
│ │ └ dist_mem_gen_v8_0.v
│ ├ mem_init_files
│ │ │ dds_rom.coe
│ │ └ DDS_ROM.mif
│ └ sim_scripts
│ └ DDS_ROM
│ │ README.txt
│ ├ activehdl
│ │ │ compile.do
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ DDS_ROM.udo
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ │ simulate.do
│ │ └ wave.do
│ ├ ies
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ └ run.f
│ ├ modelsim
│ │ │ compile.do
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ DDS_ROM.udo
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ │ simulate.do
│ │ └ wave.do
│ ├ questa
│ │ │ compile.do
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ DDS_ROM.udo
│ │ │ elaborate.do
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ │ simulate.do
│ │ └ wave.do
│ ├ riviera
│ │ │ compile.do
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ DDS_ROM.udo
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ │ simulate.do
│ │ └ wave.do
│ ├ vcs
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ DDS_ROM.sh
│ │ │ file_info.txt
│ │ │ glbl.v
│ │ │ README.txt
│ │ └ simulate.do
│ └ xsim
│ │ cmd.tcl
│ │ dds_rom.coe
│ │ DDS_ROM.mif
│ │ DDS_ROM.sh
│ │ elab.opt
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ vlog.prj
│ └ xsim.ini
├ DDS_Sin.runs
│ ├ .jobs
│ │ │ vrs_config_1.xml
│ │ │ vrs_config_2.xml
│ │ └ vrs_config_3.xml
│ ├ DDS_ROM_synth_1
│ │ │ .vivado.begin.rst
│ │ │ .vivado.end.rst
│ │ │ .Vivado_Synthesis.queue.rst
│ │ │ DDS_ROM.dcp
│ │ │ DDS_ROM.tcl
│ │ │ DDS_ROM.vds
│ │ │ DDS_ROM_utilization_synth.pb
│ │ │ DDS_ROM_utilization_synth.rpt
│ │ │ dont_touch.xdc
│ │ │ gen_run.xml
│ │ │ htr.txt
│ │ │ ISEWrap.js
│ │ │ ISEWrap.sh
│ │ │ project.wdf
│ │ │ rundef.js
│ │ │ runme.bat
│ │ │ runme.log
│ │ │ runme.sh
│ │ │ vivado.jou
│ │ └ vivado.pb
│ ├ impl_1
│ │ │ .init_design.begin.rst
│ │ │ .init_design.end.rst
│ │ │ .opt_design.begin.rst
│ │ │ .opt_design.end.rst
│ │ │ .place_design.begin.rst
│ │ │ .place_design.end.rst
│ │ │ .route_design.begin.rst
│ │ │ .route_design.end.rst
│ │ │ .vivado.begin.rst
│ │ │ .vivado.end.rst
│ │ │ .Vivado_Implementation.queue.rst
│ │ │ DDS.tcl
│ │ │ DDS.vdi
│ │ │ DDS_clock_utilization_routed.rpt
│ │ │ DDS_control_sets_placed.rpt
│ │ │ DDS_drc_opted.pb
│ │ │ DDS_drc_opted.rpt
│ │ │ DDS_drc_opted.rpx
│ │ │ DDS_drc_routed.pb
│ │ │ DDS_drc_routed.rpt
│ │ │ DDS_drc_routed.rpx
│ │ │ DDS_io_placed.rpt
│ │ │ DDS_methodology_drc_routed.pb
│ │ │ DDS_methodology_drc_routed.rpt
│ │ │ DDS_methodology_drc_routed.rpx
│ │ │ DDS_opt.dcp
│ │ │ DDS_placed.dcp
│ │ │ DDS_power_routed.rpt
│ │ │ DDS_power_routed.rpx
│ │ │ DDS_power_summary_routed.pb
│ │ │ DDS_routed.dcp
│ │ │ DDS_route_status.pb
│ │ │ DDS_route_status.rpt
│ │ │ DDS_timing_summary_routed.rpt
│ │ │ DDS_timing_summary_routed.rpx
│ │ │ DDS_utilization_placed.pb
│ │ │ DDS_utilization_placed.rpt
│ │ │ gen_run.xml
│ │ │ htr.txt
│ │ │ init_design.pb
│ │ │ ISEWrap.js
│ │ │ ISEWrap.sh
│ │ │ opt_design.pb
│ │ │ place_design.pb
│ │ │ project.wdf
│ │ │ route_design.pb
│ │ │ rundef.js
│ │ │ runme.bat
│ │ │ runme.log
│ │ │ runme.sh
│ │ │ vivado.jou
│ │ └ vivado.pb
│ └ synth_1
│ │ .vivado.begin.rst
│ │ .vivado.end.rst
│ │ .Vivado_Synthesis.queue.rst
│ │ DDS.dcp
│ │ DDS.tcl
│ │ DDS.vds
│ │ DDS_utilization_synth.pb
│ │ DDS_utilization_synth.rpt
│ │ gen_run.xml
│ │ htr.txt
│ │ ISEWrap.js
│ │ ISEWrap.sh
│ │ project.wdf
│ │ rundef.js
│ │ runme.bat
│ │ runme.log
│ │ runme.sh
│ │ vivado.jou
│ └ vivado.pb
├ DDS_Sin.sim
│ └ sim_1
│ ├ behav
│ │ └ xsim
│ │ │ compile.bat
│ │ │ compile.log
│ │ │ dds_rom.coe
│ │ │ DDS_ROM.mif
│ │ │ elaborate.bat
│ │ │ elaborate.log
│ │ │ glbl.v
│ │ │ simu.tcl
│ │ │ simulate.bat
│ │ │ simulate.log
│ │ │ simu_behav.wdb
│ │ │ simu_vlog.prj
│ │ │ webtalk.jou
│ │ │ webtalk.log
│ │ │ webtalk_11704.backup.jou
│ │ │ webtalk_11704.backup.log
│ │ │ webtalk_7008.backup.jou
│ │ │ webtalk_7008.backup.log
│ │ │ webtalk_7192.backup.jou
│ │ │ webtalk_7192.backup.log
│ │ │ xelab.pb
│ │ │ xsim.ini
│ │ │ xsim.ini.bak
│ │ │ xvlog.log
│ │ │ xvlog.pb
│ │ └ xsim.dir
│ │ │ xsim.svtype
│ │ ├ dist_mem_gen_v8_0_12
│ │ │ │ dist_mem_gen_v8_0_12.rlx
│ │ │ └ dist_mem_gen_v8_0_12.sdb
│ │ ├ simu_behav
│ │ │ │ Compile_Options.txt
│ │ │ │ simu_behav_10604_1555310665.btree
│ │ │ │ simu_behav_14788_1555333607.btree
│ │ │ │ TempBreakPointFile.txt